CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl CLOCK

搜索资源列表

  1. Exp4-Clock

    0下载:
  2. 数字计时器,使用VHDL语言编写,使用数码管显示,精确到ms-digital timer, the use of VHDL development, the use of digital control, the precision of the ms
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:808948
    • 提供者:萧飒
  1. VHDL-FPGA-clock

    0下载:
  2. FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证-FPGA design, VHDL programming, max plus simulation, in the actual circuit verification
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:269899
    • 提供者:王越
  1. VHDL-ysw

    0下载:
  2. 基于CPLD的棋类比赛计时时钟,第一个CNT60实现秒钟计时功能,第二个CNT60实现分钟的计时功能,CTT3完成两小时的计时功能。秒钟计时模块的进位端和开关K1相与提供分钟的计时模块使能,当秒种计时模块计时到59时向分种计时模块进位,同时自己清零。同理分种计时模块到59时向CTT3小时计时模块进位,到1小时59分59秒时,全部清零。同时,开关K1可以在两小时内暂停秒钟计时模块,分钟计时模块和小时计时模块。各模块的VHDL语言描述如下:-CPLD-based time clock chess c
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2716
    • 提供者:杨仕伟
  1. VHDL-jishushizhong

    0下载:
  2. 这是一个用VHDL编的一个计数时钟的设计,程序各个模块都有,希望和大家多多交流-This is an addendum to the VHDL a clock counting the design, each module has procedures, and we hope to conduct more exchanges
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2487
    • 提供者:曹喜
  1. clock

    0下载:
  2. vhdl经典源代码——时钟设计,入门者必须掌握-vhdl classical source code -- Clock Design, beginners must master
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1015216
    • 提供者:jeffery
  1. clock

    0下载:
  2. 用VHDL语言编写的一个闹钟程序,可以整点报时,设置时间,设置闹钟。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:767830
    • 提供者:zhg
  1. digital.clock

    1下载:
  2. 用vhdl语言实现多功能数字钟的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5105
    • 提供者:郭海东
  1. clock

    0下载:
  2. 基于vhdl的数字钟 有闹钟,秒表,时钟,日期等功能 秒表可以开始,暂停,清零, 时钟可以设置时间, 还可以设置日期
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3297
    • 提供者:张廷
  1. clock

    1下载:
  2. 这是一个实现时分秒的时钟功能的源码,采用vhdl语言编写,已写好led驱动,可直接在数码管上显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:247074
    • 提供者:xiaoshuai
  1. clock

    0下载:
  2. vhdl写的电子钟例程,包括多个文件,者的参考。内容简练。作为教学用的。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3900
    • 提供者:cathy
  1. clock

    0下载:
  2. 数字钟的VHDL源程序,可实现整点报时、闹钟的功能,还有常有星期的显示,已调试过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1339376
    • 提供者:玉峰
  1. clock

    0下载:
  2. 一个用vhdl写的时钟代码,上传的是工程,在实验板上调式通过,供大家参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109811
    • 提供者:ecomputer
  1. CLOCK

    0下载:
  2. 可以调整时间和设置闹钟的数字钟(VHDL)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:906237
    • 提供者:iyoung
  1. clock

    1下载:
  2. 数字钟的程序,功能说明如下所示: 1.完成秒/分/时的依次显示并正确计数; 2.秒/分/时各段个位满10正确进位,秒/分能做到满60向前进位; 3.定时闹钟:实现整点报时,通过语音设备来实现具体的报时; 4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整 5.可以选择使用12进制计时或者24进制计时。 使用QuartusII6.0编译仿真通过,语言使用的是VHDL,可以方便的移植到其他的平台上面。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:233023
    • 提供者:余宾客
  1. clock

    0下载:
  2. 本文介绍一种利用 EDA技术 和VHDL 语言 ,在MAX+PLUSⅡ环境下,设计了一种新型的智能密码锁。它体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便,具有较好的应用前景
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:67885
    • 提供者:叶仔
  1. cpld-clock

    0下载:
  2. VHDL语言编写的时钟显示代码,简短而又易懂,个人觉得很不错
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1267
    • 提供者:王盗大
  1. clock

    0下载:
  2. 用vhdl开发的up3 clock,可以在up3的led上显示24小时制时分秒
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:14942
    • 提供者:李瑶
  1. clock

    0下载:
  2. 万年历-八音自动播放电子琴设计 vhdl源码,文件内有具体注释
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:332184
    • 提供者:JK
  1. clock

    0下载:
  2. 两个按键控制校时的VHDL时钟源码,带定时闹钟和日历功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2092
    • 提供者:liu
  1. clock

    1下载:
  2. 用vhdl设计实现的多功能电子钟,可有日历,闹钟,修改等多种功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1517614
    • 提供者:fana
« 1 2 3 4 56 7 8 9 10 ... 48 »
搜珍网 www.dssz.com